© ASML / Bart van Overbeeke
Author profile picture

ASML has found its first client for the next phase in EUV systems, with higher production options, even more precision, and smaller transistor features. Intel has announced to make its first purchase order for ASML’s TWINSCAN EXE:5200 system, marking the next step on the path to EUV 0.55 NA (High-NA) introduction. The system is expected to be delivered in 2025.

The EXE platform is an evolutionary step in EUV technology and includes a novel optics design and significantly faster reticle and wafer stages. The systems offer a 0.55 numerical aperture — a precision increase from previous EUV machines with a 0.33 numerical aperture lens — to enable higher-resolution patterning resulting in even smaller chips. The numerical aperture of the system, combined with the wavelength used, determines the smallest printable feature.

With the purchase order, ASML and Intel announced the latest phase of their longstanding collaboration at the cutting edge of semiconductor lithography technology. The TWINSCAN EXE:5200 system is an extreme ultraviolet (EUV) high-volume production system with a high numerical aperture and more than 200 wafers per hour of productivity.

ASML President and CTO Martin van den Brink says Intel’s early commitment to ASML’s High-NA EUV technology is “proof of its relentless pursuit” of Moore’s Law. “Compared to the current EUV systems, our innovative extended EUV roadmap delivers continued lithographic improvements at reduced complexity, cost, cycle time, and energy that the chip industry needs to drive affordable scaling well into the next decade.”

Intel announced at its Accelerated event in July that it plans to deploy the first High-NA technology to enable its roadmap of transistor innovations. Intel was the first to purchase the earlier TWINSCAN EXE:5000 system in 2018, and with the new purchase announced today, the collaboration continues the path for Intel’s production manufacturing with High-NA EUV beginning in 2025.

Dr. Ann Kelleher, executive vice president and general manager of Technology Development at Intel: “Our focus is to stay at the forefront of semiconductor lithography technology and we’ve been building our EUV expertise and capacity over the last year. Working closely with ASML, we will harness High-NA EUV’s high-resolution patterning as one of the ways we continue Moore’s Law and maintain our strong history of progression down to the smallest of geometries.”

EUV 0.55 NA has been designed to enable multiple future nodes beginning in 2025 as the industry’s first deployment, followed by memory technologies at similar density. ASML expects High-NA technology to start supporting production manufacturing in 2025.

Higher Demand

ASML’s fourth-quarter net sales – also announced today – came in at €5.0 billion, as expected. The gross margin of 54.2% is higher than guided due to strong Installed Base revenue. Fourth-quarter net bookings came in at €7.1 billion. The total net sales for the year were €18.6 billion, including €6.3 billion from 42 EUV systems. “For ASML, 2021 was a strong growth year in a dynamic environment”, said ASML President and Chief Executive Officer Peter Wennink.

“We experience higher demand for our systems than our production capacity can accommodate. Very strong demand in end markets puts pressure on our customers for more wafer output. In order to support our customers, we are providing them with high-productivity upgrade solutions for their installed base, and we are reducing cycle time in our factory to shipping more systems. One way to reduce cycle time is through a fast shipment process that skips some of the testings in our factory. Final testing and formal acceptance then take place at the customer site. This leads to a deferral of revenue recognition for those shipments until formal customer acceptance, but does provide our customers with earlier access to wafer output capacity.”

For 2022, ASML expects revenue growth of around 20%.

Read more on ASML