Author profile picture

ASML continues to grow. “Industry strength and EUV demand” will drive an expected sales growth of about 25 percent in 2017, ASML says at the presentation of the second quarter results.

The second quarter itself was already better than the first: net sales of EUR 2.10 billion, a gross margin of 45 percent. Also sales of EUV-machines, ASML’s top product, is growing again. Eight new orders in Q2, “underscoring that adoption for high-volume manufacturing reached inflection point”, bringing the total to 27, with a total value of 2.8 billion euros.

Orders for the DUV-machines (the earlier model) continue to grow as well, bringing the total backlog to 5.35 billon euros.

ASML’s net income rose to 466 million in Q2, 14 million euros more than in Q1.

CEO Peter Wennink:

“With these strong results in the second quarter, ASML is on track to achieving net sales growth of about 25 percent for the full year. This is driven by sales to memory customers, expected to grow about 50 percent from last year especially driven by DRAM, and sales to logic customers that are expected to grow about 15 percent. Our entire product and services portfolio is driving this growth. Our DUV sales are expected to grow off a strong base in 2016. Our EUV backlog, which grew to 2.8 billion euros in the second quarter, indicated that preparation for high-volume manufacturing is well underway in both logic and DRAM. Our Holistic Lithography sales are expected to grow about 50 percent from last year. Installed Base Management sales, finally, are expected to grow about 20 percent this year, driven by our performance upgrades business. Our current view is that the positive business trends that we are seeing in 2017 are likely to continue as we enter 2018.”

Product and Business Highlights (official ASML statement):

  • In DUV lithography, we announced a new immersion system, the TWINSCAN NXT:2000i, which includes several hardware innovations that will enable on-product overlay of 2.5 nanometers in mix-and-match use with EUV for the 7/5 nanometer nodes. Demand from 3D NAND customers for KrF “dry” systems continued to be high, with more than 20 TWINSCAN XT:860 systems in the backlog. The increased productivity of the XT:860 platform was proven in production with 5,300 wafers per day exposed on one system.
  • In Holistic Lithography, we began to ship the new YieldStar 375F metrology system, which features new optics technology that generates more accurate metrology data, faster.
  • In EUV lithography, we have integrated an upgraded EUV source into a TWINSCAN NXE:3400B lithography system in our Veldhoven facility and achieved the throughput specification of 125 wafers per hour on this system. Now, with all key performance specifications demonstrated, we focus on achieving the availability that is required for high-volume manufacturing as well as further improving productivity.
  • In the second quarter, ASML also completed the acquisition of a 24.9% interest in Carl Zeiss SMT, to strengthen the long-standing and successful partnership and to facilitate the development of the future generation of EUV lithography systems.